TAGE-SC-L Branch Predictors∗

نویسنده

  • André Seznec
چکیده

The TAGE predictor [12] is considered as one of the most storage effective global branch/path history predictors. It has been shown that associated with small adjunct predictors like a statistical corrector (SC for short) and/or a loop predictor (L for short) [11, 10], TAGE can even be more effective. In this study, we explore the performance limits of these TAGE-SC-L predictors for respectively 32Kbits storage budget, 256 Kbits storage budget and quasi-unlimited (< 2 Gbits) storage budget. With a 32Kbits storage budget, only a very limited storage budget can be invested in the adjunct predictors. Then our submitted predictor used most of its storage budget on the TAGE predictor and features only a small loop predictor LP and a simple corrector filter CF. The submitted 32Kbits predictor achieves 3.315 MPKI on the CBP-4 traces. With a larger storage budget, one can invest more significant storage budget in the adjunct predictors. The submitted 256Kbits TAGE-SC-L predictor features a TAGE predictor, a loop predictor LP and a quite complex (≈ 45 Kbits) statistical corrector SC that exploits local history, global branch history and return-associated branch history. The 256Kbits TAGE-SC-L predictor achieves 2.365 MPKI on the CBP-4 traces. The no-limit budget allows to use a statistical corrector build with many components exploiting global branch and path histories, local histories and some form of skeleton histories. The submitted predictor achieves 1.782 MPKI on the CBP-4 traces.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Exploring branch predictability limits with the MTAGE+SC predictor∗

In the previous championship CBP-4, the winner of the unlimited storage track [5], poTAGE-SC was combining several TAGE based predictors using different forms of histories (local, global, and frequency), a COLT inspired [3] prediction combiner and a statistical corrector (SC) predictor [8, 10] fed with various forms of branch histories. With MTAGE-SC, we improve this predictor in two ways. Firs...

متن کامل

A 64-Kbytes ITTAGE indirect branch predictor∗

The ITTAGE, Indirect Target TAgged GEometric length predictor, was introduced in [5] at the same time as the TAGE conditional branch predictor. ITTAGE relies on the same principles as the TAGE predictor several predictor tables indexed through independent functions of the global branch/path history and the branch address. Like the TAGE predictor, ITTAGE uses (partially) tagged components as the...

متن کامل

The Second Journal of Instruction-Level Parallelism Championship Branch Prediction Competition (CBP-2)

The TAGE predictor, TAgged GEometric length predictor, was introduced in [10]. TAGE relies on several predictor tables indexed through independent functions of the global branch/path history and the branch address. The TAGE predictor uses (partially) tagged components as the PPM-like predictor [5]. It relies on (partial) match as the prediction computation function. TAGE also uses GEometric his...

متن کامل

A 64 Kbytes ISL - TAGE branch predictor ∗

The ISL-TAGE predictor consists in a TAGE predictor combined with a loop predictor (to predict loops) , a Statistical Corrector predictor and an Immediate Update Mimicker, IUM. A TAGE predictor [4] constitutes the core of the ISL-TAGE predictor. The TAGE predictor (Section 2) captures most of the correlation on the branch outcomes for very long histories. But sometimes TAGE fails to predict loo...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2014